Hacker Newsnew | past | comments | ask | show | jobs | submit | blackguardx's commentslogin

Loading drywall into my minivan is a lot easier than a truck. I can fit whole sheets and close the back gate so I don't need to strap them down and they are protected from the elements.

How about gravel? Fill? A yard of mulch? Manure? Construction debris?

Indeed. When is was remodeling my house, I bought an old van for €2000,- (the successor to the C15, actually) *

I had no compunction to fill it with debris, earth, sand etc. but that’s not something your European tradesman does. He uses a separate trailer.

* https://upload.wikimedia.org/wikipedia/commons/1/1d/2005_Cit...


OK but I do not live in Europe (though I am originally from there). You are trying to convince me that there is no possible utility that a pickup truck can have where I live, despite you having no experience with it. I am telling you from personal experience that it does have utility while also having had experience with using cars, vans, trailers, and delivery services. What makes you so convinced that your lack of experience makes you correct and my years of experience makes me incorrect?

No, I’m saying you’re right. A pickup is much more usefull for that.

Oh my bad, I misread that!

The Berlingo is amazing. Handles like a normal car, great storage space, convenient and nimble. I was very lucky to borrow one last time I moved to a new flat.

My minivan has more 50% weight capacity than a Toyota Tacoma. I can haul more construction debris and load/unload them easier because my tailgate is lower. I wouldn't want to try to haul mulch or manure though. That being said, most of my friends with trucks have caps on the back and can't haul mulch any easier than me.

mm/hr is more useful for areas that get lots of rain. When I was living in Seattle, chance of rain was meaningless but mm/hr made the difference between being able to do an outside activity or not. In California, chance of rain makes sense because it rains very little.

Jobs was actually a technician at Atari, assembling circuit boards during the night shift. Jobs was later paid by Atari to make Breakout, but basically subcontracted it to Wozniak for 50% of the contract. Jobs ended up paying Wozniak less than 1/10 of how much Atari paid him.

Woz half-heartedly disputes that. He doesn't think that Jobs would have screwed him that badly, and in his kindness he also says that if Jobs did screw him then he doesn't care because he values their lifetime of friendship over one bum business deal.

Woz is a wise man.

If you've got enough in your pot you don't need to look in the other guy's pot.


I don’t think that’s what’s being suggested. Pay why you owe and what you promise.

Doing anything less than that makes you a dick.


Both are true. Jobs was a dick and Woz handled it nicely.

Woz got screwed over. Should he have pushed back on this? Maybe. Would Woz have had a better life if he had? I'm doubtful. I'd say Woz got the better deal in the long term. His friend had a tumultuous life and ultimately died of stubbornness. Woz seems to have had decades of good living.


If one guy's pot is worth 10 billion, and the other's is worth 100 million, and the first guy got rich from the second guy's work, things seem a little bit upside down. 1% of Jobs wealth is still a lot of money, but the disparity is stark for two people who co-founded the company.

It's approximately 100 million more than you or I have.

Well we didn't found a company with Steve Jobs and get only 1% of the wealth from it.

You can pretty much do everything in Vivado from the command line as long as you know Tcl...

Also, modern Verilog (AKA Systemverilog) fixes a bunch of the issues you might have had. There isn't much advantage to VHDL these days unless perhaps you are in Europe or work in certain US defense companies.


# Here's the general flow for Vivado TCL projects that takes you from source code to a bit-file with no interaction. Read UG835 for details.

create_project -in_memory -part ${PART}

set_property target_language VHDL [ current_project ]

read_vhdl "my_hdl_file.vhd"

synth_design -top my_hdl_top_module_name -part ${PART}

opt_design

place_design

route_design

check_timing -file my_timing.txt

report_utilization -file my_util.txt

write_checkpoint my_routed_design.dcp

write_bitstream my_bitfile.bit


The main advantage to VHDL is the style of thinking it enforces. If you write your Verilog or SystemVerilog like it's VHDL, everything works great. If you write your VHDL like it's Verilog, you'll get piles of synthesis errors... and many of them will be real problems.

So if you learn VHDL first, you'll be on a solid footing.


I think this can just be summarized to "write any HDL like you are modeling real hardware." Both VHDL and Systemverilog were primarily intended for validation and synthesis is a second class citizen.


I haven't learned Verilog, only VHDL and even that with the explicit <register>_ff <= <register_nxt> pattern when I need flips flops and I never felt like there is anything difficult about VHDL

Is the North American insistence on teaching Verilog what's setting up students for failure since Verilog looks a bit more like a sequential programming language at first glance?


VHDL is based on Ada, so it also inherits from sequential programming models.


There is a trend among programmers to assume that everything supported by the syntax can be done. This is not even true in C++, but it's something people think. If you are writing synthesizable SystemVerilog, only a small subset of the language used in a particular set of ways works. You have to resist the urge to get too clever (in some ways, but in other ways you can get extremely clever with it).


I thought that if you have some idea about how hardware works, it is kind of more or less obvious whether something is synthesizable or not.


I live near both wind turbines and oil/gas fracking sites in Colorado. The wind turbines are far less obtrusive. Fracking sites produce a lot of noise and they try to hide them with these giant walls that look like a post-apocalyptic fort. On top of that, because they don't disclose their fracking fluids you always kind of have ground water contamination near your home on the back of your mind.

I'm not even against fracking but the alternatives to wind and solar are more a public nuisance to live around.


They have plenty of mines for iron amd otber metals in western countries as well. Check out https://en.wikipedia.org/wiki/Butte,_Montana


Also Kiruna in Sweden, an iron mine they relocate the entire town around to expand: https://en.wikipedia.org/wiki/Kiruna_mine

Also the reason for the existence of the Norwegian port town of Narvik, connected to Kiruna by the world’s most northerly train line.


Not to mention that Brazil is a Western country.


NB: "West" is less a term of hemispheric fidelity (Australia and New Zealand are typically seen as "western" countries, despite being in the eastern hemisphere), than it is of cultural derivation (on which Brazil has additional claims, via Portugal), and far more prominently, geopolitical and industrial significance, focusing on the industrial, colonial, and financial powers of the world, largely the US, western Europe (a large portion of which is ... in the eastern hemisphere), AU and NZ as mentioned, and arguably Japan.

The term is often used to avoid (or sometimes conflate) what have become problematic and/or obsolte terms, including colonial empires, advanced vs. undeveloped countries, NATO vs. Soviet Bloc states, or the similarly cardinal-directed "Global North" vs. "Global South".

Pedantry on the point (my own included) isn't particularly illuminating or interesting.

Wikipedia's disambiguation page suggests the vagueness of the term: <https://en.wikipedia.org/wiki/West_(disambiguation)>.

Edit: /Brazil has claims/s/has/& additional/


"Rich countries" might be a better shorthand term.


That's ... somewhat freighted as well (less in the positive than the implied negative framing).

"G-n", where n is typically in the range of 6--20, and most canonically refers to the G7 nations of Canada, France, Germany, Italy, Japan, the United Kingdom and the United States, is another formulation, though that omits Australia (reasonably significant) and NZ (a small country, though quite "western" in a cultural sense). Other significant exclusions are of course China, as well as South Korea, any South American states (Mexico and Brazil would be the most likely candidates), as well as numerous European states which aren't as dominant but are still internationally significant commercially and politically, though those last can claim some inclusion under the EU, the "non-enumerated member".


TouchID doesn't really for me on my Macbook or iPad. It has about a 25% success rate. I think one issue is that I work with my hands a lot.


It works OK for me on Mac, but all touchID drops to about 50/50 for me in Winter, under the (otherwise) best circumstances. Dry air, I guess.

On iPhone, specifically, it was awful for me. I was too likely to have wet hands (raining, just got out of shower, whatever—even dried, the higher moisture in my skin meant it didn't work) or gloves on or some other problem that made it fail. Trying to hold it the right way, one-handed, to get a finger in the right position (waaaaay down near the bottom) was also a high-risk maneuver for a drop, and was not a way I'd otherwise have tried to hold the device.


Who was president when Microsoft introduced D&I (Diversity and Inclusion) initiatives in 2019? AFAIK, they never called it DEI internally.


You say smaller political entity, but the city of Washington D.C has 100k more people than the entire state of Wyoming...


Good point - and also whoops on forgetting that, should have remembered from my DC history class where they drill in that we have a larger population than Wyoming and Vermont yet no rep


We'll probably end up with the doors from Philip K. Dick's Ubik that charge you money to open and threaten to sue you if you try to force it open without paying.


Guidelines | FAQ | Lists | API | Security | Legal | Apply to YC | Contact

Search: